”FPGA CRC32 校验算法 Verilog“ 的搜索结果

     利用Quartus II集成环境和Verilog HDL语言工具将算法转 变为校验码生成电路,并进行验证比较,最后在FPGA上进行了硬件电路的仿真和实现。结果表明,并行CRC算 法在速度方面明显优于串行CRC算法,但会牺牲较大的硬件空间...

     根据C语言的查表方式实现的,我只是把他用FPGA翻译了一下。 首先贴上C语言查表实现CRC16的源码 /* CRC 高位字节值表 */ const static unsigned char auchCRCHi[] = { 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x...

     使用的CRC-8/MAXIM:  参数模型: x8+x5+x4+1  在调试MAXIM一款ds2401芯片的时候,crc的验证 ...//CRC8 CRC 校验的等效多项式是: CRC = x8 + x5 + x4 + 1 DS2401  /*  测试用例  使

1